Understanding the Altimeter’s Behavior in 5G Environment

Electromagnetic analysis and antenna simulation could be the key to developing a safe setup.

Electromagnetic analysis and antenna simulation could be the key to developing a safe setup.

Using Ansys HFSS (High Frequency Structure Simulator) software to simulate altimeter functions during an airplane landing. Image courtesy of Ansys.


In mid-January 2022, chief executives from the leading airlines sounded an alarm, warning that the telecom providers’ planned rollout of 5G services could be “catastrophic” to aviation. 

The Federal Aviation Administration (FAA) also chimed in, warning “potential interference could affect sensitive airplane instruments such as altimeters and significantly hamper low-visibility operations” (“Major U.S. airlines warn 5G could ground some planes, wreak havoc,” Reuters, January 17, 2022).

The telecom giants and the airlines eventually agreed “to create buffer zones for 6 months around airports where transmitters are in close proximity,” according to an FAA statement on January 16. 

On the one hand 5G’s benefits, which range from better Internet of Things services to Netflix streaming. On the other hand, the safety of thousands of passengers onboard hundreds of flights must not be put in jeopardy. What is the best balance then? Simulation may play a key role to help evaluate the risks involved and possible solutions.

Preventing a Frequency Clash

The concerns are mainly about how 5G might affect the functions of the plane’s radio altimeter, which allows the plane to land safely in low-visibility conditions by measuring the plane’s height above the ground. The key question that needs to be resolved is: Can the altimeter operate reliably in the 5G C-band environment?

The Federal Communication Commission (FCC), which regulates the use of radio frequency, states, “Mid-band spectrum has become a target for 5G buildout, given its balanced coverage and capacity characteristics. With our work on the 2.5 GHz, 3.5 GHz, and 3.7-4.2 GHz bands, we will make more than 600 megahertz available for 5G deployments.”

The altimeters operate in the 4.2-4.4 GHz band allocated to Aeronautical Radionavigation. On March 3, 2020, the FCC filed an order on the “Expanding Flexible Use of the 3.7 to 4.2 GHz Band” (FCC 20-22). 

In one chapter of that order, “Coexistence with Aeronautical Radionavigation,” the FCC writes, “By licensing only up to 3.98 GHz as flexible-use spectrum, we are providing a 220 MHz guard band between new services in the lower C-band and radio altimeters and Wireless Avionics Intra-Communications services operating in the 4.2-4.4 GHz band. This is double the minimum guard band requirement.”

Jonathan Oakley, high-tech industry leader for simulation, Dassault Systèmes, believes the frequency gap “is more than sufficient, assuming correct electromagnetic design of the 5G equipment and the altimeter systems.” 

He adds, “Simulation can demonstrate the effectiveness of critical electromagnetic filters and help with good design to reduce interference in general. The frequency gaps or the guardrails put in place by the regulatory bodies between spectrum assignments are often based on worst-case scenarios, but simulation can help ensure that products and systems stay well within their allotted spectrum.” Running simulations early in the product design process can reduce product development time and cost, but can also help avert late-stage issues such as what is happening related to 5G. 

Dassault Systèmes proposes using simulation to explore filtering 5G signals to avoid interference. Image courtesy of Dassault Systèmes.

One relevant product is the CST Studio Suite for electromagnetic field simulation, part of the SIMULIA software portfolio from Dassault Systèmes’ 3DEXPERIENCE platform. 

The package “includes a range of multiscale high-frequency solvers with core strengths in antenna engineering, interference analysis and certification. The software is used worldwide by leading [original equipment manufacturers] and suppliers in the aerospace and defense and high-tech industries. 5G antenna and related systems design has been a major focus of the company, at the low-power device and the high-power base station level,” according to the company.

Oakley suggests using the software to model the filters in the various equipment to ensure they will perform as required. 

“High-power filters can get hot, for example, and the resulting deformation can detune the device,” Oakley points out. It can also reveal “how the radar altimeter and base station might interfere, including out-of-band signals (and possibly intermodulation products),” he adds.

Other potential analyses he proposes are:

  • 3D electromagnetic simulation of the installed tower antennas to calculate the potential field strength near an aircraft; and
  • susceptibility studies of the radar altimeter to see how sensitive it might be to interference.

Stakeholders may also explore potential solutions through simulation. These range from: 

  • reducing the base station power near the airport;
  • beam steering to avoid the antennas pointing in the vicinity of low flying aircraft;
  • improved filters design and robustness to prevent interference from out-of-band signals; and 
  • better electromagnetic shielding of the front or backend of the radar module.

“CST has recently demonstrated effective mitigation of interference by means of robust RF band-pass filters,” Oakley says. “This can be demonstrated even in the worst-case scenario of a plane rollover maneuver followed by a landing on a very short runway.”

Preventing Mixed Signals

This is the domain of radar systems engineers, according to Shawn Carpenter, program director at Ansys, and more broadly, radio frequency system engineers. 

“It’s also the purview of network system architects who specialize in radio frequency systems as well as the radar systems,” he explains.

Using Ansys tools, users can closely inspect an altimeter’s two possible failure modes in the 5G scenario, Carpenter says. “It might fail if it’s getting energy in its operating frequencies where no energy should show up, indicating an out-of-band emission from the 5G system. These 5G systems transmit a lot of energy, so it might also hit the altimeter and saturate the receiver.” 

The second failure mode is similar to what happens to the in-car FM radio signal when the driver drives past a powerful AM station, Carpenter says. “Your radio flutters in and out, right? The AM frequencies are 100 times lower than those in the FM band. They’re way outside the specified FM receiver frequency, but because it’s so powerful and the radio doesn’t have enough power to reject that signal, it still messes up your FM signal,” he explains.

Carpenter proposed using Ansys’s AGI STK, a digital mission engineering system tool kit, to simulate the scenario. In December 2020, Ansys acquired Analytical Graphics Inc (AGI) for $700 million. The company provides “mission-driven simulation, modeling, testing and analysis software for aerospace, defense and intelligence applications,” according to the acquisition announcement.

“Ansys’s acquisition of AGI will help drive our strategy of making simulation pervasive from the smallest component now through a customer’s entire mission,” says Ajei Gopal, president and CEO of Ansys. “We are excited to welcome the expert AGI team—and to expand the reach of their world-class technology to industries outside of aerospace, including for autonomy and 5G applications.”

Landing a Digital Twin Through 5G Signals

As proof of concept, Ansys recently simulated an aircraft’s landing operation, accounting for the altimeter’s overlap with the 5G signal near the airport. For ground data, it used the King County Airfield, 5 miles south of downtown Seattle, WA. AGI comes preloaded with airport data users can pick and choose, Carpenter says. The 3D model of a Boeing 777 with an altimeter mounted at the bottom was developed in High Frequency Structure Simulator (HFSS), another Ansys package.

Additional simulation parameters were gleaned from the report titled “Assessment of C-Band Mobile Telecommunications Interference Impact on Low-Range Radar Altimeter Operations,” published by the industry group RTCA (Radio Technical Commission for Aeronautics) in October 2020.

“Ansys HFSS is a 3D electromagnetic (EM) simulation software for designing and simulating high-frequency electronic products such as antennas, antenna arrays, RF or microwave components, high-speed interconnects, filters, connectors ... engineers worldwide use Ansys HFSS software to design high-frequency, high-speed electronics found in communications systems, advanced driver assistance systems (ADAS), satellites, and Internet of Things (IoT) products,” according to the company.

The same simulation completed with accurate data representing other airports, aircraft and altimeter types, Carpenter suggests, could answer some important questions, such as: “Does the 5G signal content get into the radar altimeter receiver at any point in the landing? Is the interference creating a real safety issue?”

On January 28, the FAA stated, “The FAA, Verizon and AT&T have agreed on steps that will enable more aircraft to safely use key airports while also enabling more towers to deploy 5G service.” 

The agency has also approved “20 altimeters that allow approximately 90% of the U.S. commercial fleet to perform low-visibility landings at most airports in the 5G deployment,” while cautioning that “some altimeters will have to be retrofitted or replaced.”

More Ansys Coverage

More Dassault Systemes Coverage

Unified Modeling And Simulation (MODSIM) For Sustainable Product Development
Companies in all sectors must address the need for greater sustainability to meet customer demands. The development of new products necessitates rigorous testing and evaluation, while efforts must be made to decrease emissions and operate in a more economical fashion.
What’s New in 3D Motion Creator R2024x
3D Motion Creator provides simple functionality for kinematic and dynamic motion analysis of assemblies.
Industry Leaders Shift Strategies to Harvest AI Spring
AI-powered simulation and natural language input poised to become the norm.
Dassault Systèmes Releases SOLIDWORKS 2024
Company says new release enables users to create experiences smarter, faster, together.
Twin Tech and the Smart City: A Natural Pairing?
The question is whether the digital twin can take the smart city into the mainstream.
US DoE Puts $2.85 Million Toward 3D Printing Modular Wind Blades
Funding was awarded to Purdue University and its industry partners, including Thermwood, TPI Composites Inc. and Dassault Systèmes.
Dassault Systemes Company Profile

Share This Article

Subscribe to our FREE magazine, FREE email newsletters or both!

Join over 90,000 engineering professionals who get fresh engineering news as soon as it is published.


About the Author

Kenneth Wong's avatar
Kenneth Wong

Kenneth Wong is Digital Engineering’s resident blogger and senior editor. Email him at kennethwong@digitaleng.news or share your thoughts on this article at digitaleng.news/facebook.

      Follow DE
#26263